From e6dadf1a0c2ee17b6d692167229f439aff99b2fd Mon Sep 17 00:00:00 2001 From: Ludovic Pouzenc Date: Sun, 16 Sep 2018 19:27:38 +0200 Subject: package/utils/busybox: probably from OpenWRT --- .../patches/270-libbb_make_unicode_printable.patch | 20 ++++++++++++++++++++ 1 file changed, 20 insertions(+) create mode 100755 package/utils/busybox/patches/270-libbb_make_unicode_printable.patch diff --git a/package/utils/busybox/patches/270-libbb_make_unicode_printable.patch b/package/utils/busybox/patches/270-libbb_make_unicode_printable.patch new file mode 100755 index 0000000..3bfd48a --- /dev/null +++ b/package/utils/busybox/patches/270-libbb_make_unicode_printable.patch @@ -0,0 +1,20 @@ +--- a/libbb/printable_string.c ++++ b/libbb/printable_string.c +@@ -31,8 +31,6 @@ const char* FAST_FUNC printable_string(u + } + if (c < ' ') + break; +- if (c >= 0x7f) +- break; + s++; + } + +@@ -45,7 +43,7 @@ const char* FAST_FUNC printable_string(u + unsigned char c = *d; + if (c == '\0') + break; +- if (c < ' ' || c >= 0x7f) ++ if (c < ' ') + *d = '?'; + d++; + } -- cgit v1.1